用四選一資料選擇器實現邏輯函式YABCACBC

2021-03-08 11:24:35 字數 1880 閱讀 8661

1樓:匿名使用者

要先轉化成最小項標準與或式,這裡要用到互補性質就是a+a'=1,即a或a'

恆等於1。

同理b+b'=1,c+c'=1,這樣代入到原邏輯表示式。

y=ab'+ac'=ab'(c+c')+ac'(b+b')=ab'c+ab'c'+abc'+ab'c'=ab'c'+ab'c+abc'=σm(4,5,6).

用4選1資料選擇器實現該函式,a,b分別接入資料選擇器的a1,a0地址輸入端,c作為資料輸入端,上式化為4選1的標準邏輯資料選擇器式:

y=a1a0'(c+c')+a1a0c'=a1'a0'·0+a1'a0·0+a1a0'·1+a1a0·c'。

這樣a1'a0'和a1'a0(分別對應d0和d1)應該輸入'0'電平;a1a0'對應的資料線d2應輸入'1'電平;而a1a0對應的資料線d3=c',應該由c通過非門接d3;

a1=a,a0=b,d0=0,d1=0,d2=1,d3=c'。

擴充套件資料

工作原理是:

給a1a0一組訊號 比如1 0 那麼就相當於給了他一個2進位制數字2 也就相當於選通了d2這個輸入端,這個時候 輸出y 輸出的就是d2的訊號;d2是什麼,y就輸出什。

資料選擇器是指經過選擇,把多個通道的資料傳送到唯一的公共資料通道上去,實現資料選擇功能的邏輯電路稱為資料選擇器。在多路資料傳送過程中,能夠根據需要將其中任意一路選出來的電路,叫做資料選擇器,也稱多路選擇器或多路開關。

資料選擇器(mux)的邏輯功能是在地址選擇訊號的控制下,從多路資料中選擇一路資料作為輸出訊號。有2選1,4選1、8選1和16選1等型別的資料選擇器,又叫「多路開關」。

2樓:輝煌的金星

先轉化成最小項標準與或式,利用互補性質(a+a')=1。 本體可轉化為(abc+ab'c+a'bc+a'b'·0)=(m3·c+m2·c+m1·c+m0·0)。

所以資料選擇控制端位a0=b,a1=a,資料輸入端d0=0,d1=c,d2=c,d3=c。

(本來不會,看了下面大哥的思路,把這道題做了一遍)

用四選一資料選擇器實現邏輯函式y=ab'+ac',求解d0 d1 d2 d3取值分別是多少。

3樓:匿名使用者

要先轉化成最小項標準與或式,這裡要用到互補性質就是a+a'=1,即a或a' 恆等於1。同理b+b'=1,c+c'=1,這樣代入到原邏輯表示式y=ab'+ac'=ab'(c+c')+ac'(b+b')=ab'c+ab'c'+abc'+ab'c'=ab'c'+ab'c+abc'=σm(4,5,6).

用4選1資料選擇器實現該函式,a,b分別接入資料選擇器的a1,a0地址輸入端,c作為資料輸入端,上式化為4選1資料選擇器的標準邏輯式:

y=a1a0'(c+c')+a1a0c'=a1'a0'·0+a1'a0·0+a1a0'·1+a1a0·c'。

這樣a1'a0'和a1'a0(分別對應d0和d1)應該輸入'0'電平;a1a0'對應的資料線d2應輸入'1'電平;而a1a0對應的資料線d3=c',應該由c通過非門接d3;

a1=a,a0=b,d0=0,d1=0,d2=1,d3=c'

4樓:黑_你好

先轉化為最小項組成的標準與或式,即∑m(1,2,3,4,5),

其邏輯表示式為f = a'b'c+a'bc'+a'bc+ab'c'+ab'c,(其中a' , b' , c' 表示a,b,c的反變數)

將其轉化為f = a'b'(c) + a'b(1) + ab'(1) + ab(0);

而4選1資料選擇器的邏輯式為 f = a1'a0'd0 + a1'a0d1 + a1a0'd2 + a1a0d3,

比較可知資料控制端 a1 = a , a0 = b ; 資料輸入端 d0 = c , d1 = 1, d2 = 1,d3 = 0;

用8選1資料選擇器74ls151實現邏輯函式 f a bc

f a bc b c ac a a bc a a b c a b b c a b b c c a bc ab c a b c abc ab c abc將a,b,c看做是三位地址線 地址是011,101,001,110,100,111的都接1,其餘的都接0。擴充套件資料 邏輯運算 與運內算 邏輯乘 布...

verilog設計八選一資料選擇器利用八選一數

樓上說的不錯 你可以這樣理解,將 a,b,c 和起來看成一個3位二進位制數,那麼它表示的就是數字0 7 那麼m1可以對應1,將1轉換成2進位制就是 001 等於 abc 看這樣可以理解麼?你這個描述有問題bai。m是最大積的du 表達方式,m1表示 zhi的dao是a b c m2表示的是a b c...

如何用雙四選一資料結構選擇器74LS153實現全加器

根據全加器真值表,可寫出和s,高位進位co的邏輯函式。a1a0作為兩個輸入變數,即加數和被加數a b,d0 d3為第三個輸入變數,即低位進位ci,1y為全加器的和s,2y全加器的高位進位co,則可令資料選擇器的輸入為 a1 a,a0 b,1do 1d3 ci,1d1 1d2 ci反,2d0 0,2d...