如何用8選1數字選擇器和閘電路設計64選1數字選擇器

2021-04-18 10:30:14 字數 2787 閱讀 5913

1樓:匿名使用者

這種題目,bai

原理其實很簡單,但是真du要連線,卻又很zhi麻煩,晶片太多了dao,連線也多,畫起版來有權

點麻煩,這種題目真是很奇葩,實際應用能這麼做嗎?

你說的意思差不多,8個8選1並聯,其實就第一級完成64選1,選出8個數字,後面再接一個,完成第二級8選1。

要完成64選1,需要6位地址線。

但要加一片74ls138譯碼器,即前面的8個需要用譯碼器來選片,8個選一個晶片工作。

前面8片接低3位地址,a2,a1,a0。高3位地址a5,a4,a3接譯碼器,再接後面的8選1晶片的地址輸入abc。

真要畫出這個邏輯圖,需要很耐心哪。

2樓:兒時的石板路

可以,八個輸出接後面那個的輸入

用8選1資料選擇器ct74ls151和閘電路設計電路 5

3樓:楊必宇

f=a'bc+b'c+ac'+a。

=a'bc+(a+a')b'c+a(b+b')c'+a(b+b')(c+c')。

=a'bc+ab'c+a'b'c+abc'+ab'c'+abc。

用閘電路設計組合電路,可能需要用到的閘電路品種比較多,門之間的連線較多,pcb設計難度也大;若只用某一種閘電路,則可能門的數量多,且不同的傳輸路徑上門的級數相差較大,即傳輸時延較大,則出現競爭冒險的可能較大。

用典型組合邏輯積體電路進行電路設計,電路可能比較簡潔,ic的數量會比較少,連線較使用閘電路會有較大的減少。但設計難度比使用閘電路要大。

4樓:匿名使用者

用一片8選1資料選擇器74xx151可以很方便地實現4(及以下)輸入變數、單輸出變數的組合邏輯電路。

實現方法:①將該組合邏輯電路的表示式變換為最小項表示式,例如,邏輯函式

,注意:在表示式的最小項中,自變數(輸入變數)排列順序是abcd(即a是最高位msb,d是最低位lsb);如果有兩個最小項出現d不同的邏輯相鄰,則可消去d,如本式中的m14與m15。

②列出74xx151的輸出函式表示式,

其中:s2、s1、s0(在multisim中是a、b、c)分別是74xx151的地址碼的高、中、低位,d0~d7 是8個資料輸入。注意:

74xx151地址碼中的abc排列順序與①中是相反的。

③令s2=a、s1=b、s0=c;比較上面兩式,可知若再使d0=d1=d5=d'(這裡的'代表「非」號)、d2=d3=d4=d、d6=0、d7=1,則兩式相等,其中的d'由一個反相器(非門)將d取反後得到。

5樓:匿名使用者

電路圖如圖所示,a為最高位,d為最低位;abc為資料選擇器的三個選通控制端,d作為輸入端。這樣就可以實現上述功能。

希望這能給你些幫助。

試用8選1資料選擇器和閘電路設計一個多功能電路,儘量帶上電路圖,晶片最好可以用74ls151,

6樓:匿名使用者

74ls151是8選1資料選擇器,但功能表中只要求四種功能,所以,當成4選1資料選擇器用,只用前4個資料輸入端x0~x3,選擇變數就是ef。功能一是異或門,用74ls86,功能二是同或門,沒有同或閘電路,異或門加一個非門就是同或門,功能三是與非門,用74ls00,功能 四是或非門,用74ls02。四種功能 的輸入變數是a,b。

按要求畫出的邏輯圖如下,這也是**圖,經**測試通過的。這是正確的答案,請採納。

7樓:無畏無知者

只怕是費了腦筋,不採納,是否滿意也不吱聲;

8樓:匿名使用者

異或閘電路基礎上再加2非門就可以。

怎樣用雙4選1資料選擇器構成一個8選1的電路

9樓:幸運唐朝

對照153的引腳圖,將使能端1s和使能端2用非門連線,做最高位a2;然後加上原來的a1和a0,構成三位輸入端。同時輸出端y2和y1通過一個或門輸出,即可做成8選一資料選擇器。。

10樓:

這種設計有什麼特別的意義嗎?

雙4選一每組都有一個使能管腳,設計在兩組使能管腳上的輸入相反訊號的第三個控制訊號,加上原有的兩個選擇訊號就能完成你提的要求了

用八選一資料選擇器74ls151和閘電路設計一個電路圖,

11樓:匿名使用者

設 0可被2或5整除,要求電路真值表如圖,對比74ls151真值表得出邏輯電路圖。

用8選1資料選擇器ct74ls151和閘電路設計電路,要求輸出邏輯函式為

12樓:無畏無知者

函式式中,有四個輸入變數

;用74ls151來實現「或」的功能,變數abc對應作為74ls151的選通訊號變數,變數d作為8路輸入訊號之一路;

分析邏輯函式 y等式中的每一項:

1)ac非d(選通訊號=ac非,沒有b),因此會分別選通出對應兩路輸入訊號,把這兩路輸入訊號並聯起來,作為d變數輸入;

2)a非b非cd(選通訊號=a非b非c),將選通唯一的輸入訊號,也作為d變數輸入;

3)bc(選通訊號=bc,沒有a),也將分別選通出兩路輸入訊號,這兩路輸入訊號的值取1即可;

用一個151就可實現功能了,無需外加閘電路;

設計一個多功能電路,功能表見表1.分別採用邏輯閘實現,8選1資料選擇器實現,4:16譯碼器實現. 80

13樓:l夾

多功能電路,

這個功能要求怎麼確定

你具體說說

兩個雙8選1資料選擇器如何實現輸入

成電路.其基本功能是完成對多路資料的選擇與分配 在公共傳輸線上實現多路資料的分時傳送.此外,還可完成資料的並 串轉換 序列訊號產生等多種邏輯功能以及實現各種邏輯函式功能.因而,屬於通用中規模積體電路.一 多路選擇器 多路選擇器 multiplexer 又稱資料選擇器或多路開關,常用mux表示.它是一...

用8選1資料選擇器74ls151實現邏輯函式 f a bc

f a bc b c ac a a bc a a b c a b b c a b b c c a bc ab c a b c abc ab c abc將a,b,c看做是三位地址線 地址是011,101,001,110,100,111的都接1,其餘的都接0。擴充套件資料 邏輯運算 與運內算 邏輯乘 布...

如何用雙四選一資料結構選擇器74LS153實現全加器

根據全加器真值表,可寫出和s,高位進位co的邏輯函式。a1a0作為兩個輸入變數,即加數和被加數a b,d0 d3為第三個輸入變數,即低位進位ci,1y為全加器的和s,2y全加器的高位進位co,則可令資料選擇器的輸入為 a1 a,a0 b,1do 1d3 ci,1d1 1d2 ci反,2d0 0,2d...